What is wrong? The code gives error

What is wrong?
My English is a bit bad so I would appreciate it if they were simple in the answer.

=====================================================================

package examples
import chisel3._
class POr() extends Module {
val io = IO ( new Bundle {
val a = Input(UInt(1.W))
val b = Input(UInt(1.W))
val x = Output(UInt(1.W))
})
io.x := (io.a | io.b)
}

=========================================================================

package examples

import chisel3.iotesters.{PeekPokeTester, Driver, ChiselFlatSpec}

class POrTests(c: POr) extends PeekPokeTester© {
for( i <- 0 to 1) {
for( j <- 0 to 1) {
poke(c.io.a, i)
poke(c.io.b, j)
step(1)
val res = (i | j)
expect(c.io.x, res & 1)
}
}
}

class POrTester extends ChiselFlatSpec {
behavior of “POr"
backends foreach {backend =>
it should s"operou corretamente os bit gerados no $backend” in {
Driver(() => new POr, backend)(c => new POrTests©) should be (true)
}
}
}

====================================================================

Thank you for your attention!

It might help if you could show us the error message too.

The error:

Exception: sbt.TrapExitSecurityException thrown from the UncaughtExceptionHandler in thread "run-main-0"
java.lang.RuntimeException: Nonzero exit code: 1
at scala.sys.package$.error(package.scala:27)
[trace] Stack trace suppressed: run last test:runMain for the full output.
[error] (test:runMain) Nonzero exit code: 1
[error] Total time: 2 s, completed 12/04/2017 10:17:51

It looks like the application you try to run exits aggressively. For example with java.lang.System.exit(1).
You will probably have a better chance of getting help for this in a forum specific to the library you’re using (chisel3), for instance https://groups.google.com/forum/#!forum/chisel-users.

I found the error.
There was no way to configure a file that mapped the examples. Without this the script did not find the classes.

Thank you for your attention!